欢迎访问东莞市成良智能科技有限公司官方网站!

东莞市成良智能科技有限公司

专注于:3D打印机开关电源/设备开关电源的定制生产厂家

郎女士:137-1288-9967

座   机:0769-82136991

联系方式
全国服务热线:137-1288-9967

联系人: 郎苹(女士)
电话:0769-82136991
传真:0769-82138385
地址:广东省东莞市塘厦镇林村新太阳工业城新鸿路30号10楼/12楼

历史记录

开关电源之各功能电路原理和PCB完成检查之三

来源:东莞市成良智能科技   发布时间:2019-08-14   点击量:1103


开关电源电路之输出端限流保护:
常见的输出端限流保护电路,其工作原理简述:当输出电流过大时,RS(锰铜丝)两端电压上升,U1③脚电压高于②脚基准电压,U1①脚输出高电压,Q1导通,光耦发生光电效应,UC3842①脚电压降低,输出电压降低,从而达到输出过载限流的目的。

开关电源之电路之输出过压保护电路的原理: 输出过压保护电路的作用是:当输出电超过设计值时,把输出电压限定在一安全值的范围内。当开关电源内部稳压环路出现故障或者由于用户操作不当引起输出过压现象时,过压保护电路进行保护以防止损坏后级用电设备。

开关电源之应用最为普遍的过压保护电路有如下几种: 
1、开关电源之可控硅触发保护电路:
当Uo1输出升高,稳压管(Z3)击穿导通,可控硅(SCR1)的控制端得到触发电压,因此可控硅导通。Uo2电压对地短路,过流保护电路或短路保护电路就会工作,停止整个电源电路的工作。当输出过压现象排除,可控硅的控制端触发电压通过R对地泄放,可控硅恢复断开状态。

2、开关电源之光电耦合保护电路:
当Uo有过压现象时,稳压管击穿导通,经光耦(OT2)R6到地产生电流流过,光电耦合器的发光二极管发光,从而使光电耦合器的光敏三极管导通。Q1基极得电导通, 3842的③脚电降低,使IC关闭,停止整个电源的工作,Uo为零,周而复始。 

3、开关电源之输出限压保护电路: 输出限压保护电,当输出电压升高,稳压管导通光耦导通,Q1基极有驱动电压而道通,UC3842③电压升高,输出降低,稳压管不导通,UC3842③电压降低,输出电压升高。周而复始,输出电压将稳定在一范围内(取决于稳压管的稳压值)。

4、开关电源之输出过压锁死电路:
开关电源之电路工作原理: 输入电压经L1、L2、L3等组成的EMI滤波器,BRG1整流一路送PFC电感,另一路经R1、R2分压后送入PFC控制器作为输入电压的取样,用以调整控制信号的占空比,即改变Q1的导通和关断时间,稳定PFC输出电压。L4是PFC电感,它在Q1导通时储存能量,在Q1关断时施放能量。D1是启动二极管。D2是PFC整流二极管,C6、C7滤波。PFC电压一路送后级电路,另一路经R3、R4分压后送入PFC控制器作为PFC输出电压的取样,用以调整控制信号的占空比,稳定PFC输出电压。

开关电源之输入过欠压保护: 
工作原理: AC输入和DC输入的开关电源的输入过欠压保护原理大致相同。保护电路的取样电压均来自输入滤波后的电压。 取样电压分为两路,一路经R1、R2、R3、R4分压后输入比较器3脚,如取样电压高于2脚基准电压,比较器1脚输出高电平去控制主控制器使其关断,电源无输出。另一路经R7、R8、R9、R10分压后输入比较器6脚,如取样电压低于5脚基准电压,比较器7脚输出高电平去控制主控制器使其关断,电源无输出。

最后关于开关电源之PCB完成后需要的检查是怎么做的,请往下面看:
布线设计完成后,需认真检查布线设计是否符合设计者所制定的规则,同时也需确认所制定的规则是否符合印制板生产工艺的需求,一般检查线与线、线与元件焊盘、线与贯通孔、元件焊盘与贯通孔、贯通孔与贯通孔之间的距离是否合理,是否满足生产要求。 电源线和地线的宽度是否合适,在PCB中是否还有能让地线加宽的地方。注意: 有些错误可以忽略,例如有些接插件的Outline的一部分放在了板框外,检查间距时会出错;另外每次修改过走线和过孔之后,都要重新覆铜一次。

复查根据“PCB检查表”,内容包括设计规则,层定义、线宽、间距、焊盘、过孔设置,还要重点复查器件布局的合理性,电源、地线网络的走线,高速时钟网络的走线与屏蔽,去耦电容的摆放和连接等。

热门标签:开关电源
全国定制服务热线
0769-82136991
专注于:3D打印机开关电源/设备开关电源的定制生产厂家
联系人:郎苹(女士)
移动电话:137-1288-9967
传真:0769-82138385
邮编:523710

地址:广东省东莞市塘厦镇林村新太阳工业城新鸿路30号10楼/12楼

阿里店铺

扫一扫进入阿里店铺

Copyright ©2018 All Rights Reserved 东莞市成良智能科技有限公司 备案号:粤ICP备18048813号